Bienvenue aux nouveaux arrivants sur FantasPic !

- Pensez à lire les règles durant votre visite, il n'y en a pas beaucoup, mais encore faut-il les respecter .
- N’hésitez pas à faire des remarques et/ou suggestions sur le Forum, dans le but de l'améliorer et de rendre vos prochaines visites plus agréables.
- Vous pouvez regarder votre "panneau de l'utilisateur" afin de configurer vos préférences.
- Un passage par "l'utilisation du forum" est recommandé pour connaître les fonctionnalités du forum.

--- L’équipe FantasPic ---
Forum général sur l'Assembleur !

Modérateur : mazertoc

écran tactile 2.4 TFT LCD 240 x 320 (version ASM)
JMarc
Confirmé
Confirmé
Messages : 605
Enregistré en : août 2016
Localisation : Dans le Sud...

#121 Message par JMarc » sam. 14 oct. 2017 08:25

Bonjour à tous

J'ai attaqué hier l'envoi des code selon la datashett 5408, mais pour l'instant Ecran toujou blanc :mur:

écran tactile 2.4 TFT LCD 240 x 320 (version ASM)
Temps-x
Avatar de l’utilisateur
Expert
Expert
Messages : 2595
Enregistré en : juillet 2016
Localisation : Terre

#122 Message par Temps-x » sam. 14 oct. 2017 11:41

Bonjour satinas, JMarc, Jérémy, et tous le forum,


Si ton écran ne fonctionne pas, c'est parce que la communication n'est pas établi, je te joint l'éclaté de notre SPFD5408A

Il y a une broche ou l'on doit pouvoir récupérer le signal envoyer, je cherche actuellement cette broche.

Schéma du SPDF5408 :

Shéma de la puce SPFD5408A.jpg


Le SPFD5408A fournit des interfaces système, qui comprennent Interfaces parallèles 8- / 9- / 16- / 18 bits et interface série (SPI)

Protocole :

Protocole.jpg


Si tu établi la communication, le reste seras plus facile, c'est à ça qu'il faut s'attaquer en premier lieu.

A+
Vous n’avez pas les permissions nécessaires pour voir les fichiers joints à ce message.
:roll: Les requins, c'est comme le langage ASM, c'est le sommet de la chaîne alimentaire. :wink:

écran tactile 2.4 TFT LCD 240 x 320 (version ASM)
JMarc
Confirmé
Confirmé
Messages : 605
Enregistré en : août 2016
Localisation : Dans le Sud...

#123 Message par JMarc » sam. 14 oct. 2017 14:16

Merci temps-x

Je les avaient avec la datashett

Je le comprend ainsi: le 5408 est un protocole généraliste. Notre montage n'a que 8 bits en data donc c'est forcément le 80-protocol 8 bits interfaces ???

Si oui, rien à choisir mais il faut alors déposer les données en deux fois ?


Data1=>portd
Wr=0
Nop
Wr=1
Nop
Data2=> portd
Wr=0
Nop
Wr=1

écran tactile 2.4 TFT LCD 240 x 320 (version ASM)
Temps-x
Avatar de l’utilisateur
Expert
Expert
Messages : 2595
Enregistré en : juillet 2016
Localisation : Terre

#124 Message par Temps-x » sam. 14 oct. 2017 17:40

Bonsoir JMarc,

Si oui, rien à choisir mais il faut alors déposer les données en deux fois ?


:+1: Bien sur, ce qui nous intéresse c'est le mode 8 bits, et il faut le faire en deux fois.

Voilà le mode en question :

Protocole 8 bits.jpg


Le plus important à comprendre du datasheet se trouve de la page 1 à la page 12, pour le reste c'est de l'application.

Avec le mode RGB, tu peux même lire de la vidéo, pour l'instant on n'est pas à ce niveau. :lol:

A+
Vous n’avez pas les permissions nécessaires pour voir les fichiers joints à ce message.
:roll: Les requins, c'est comme le langage ASM, c'est le sommet de la chaîne alimentaire. :wink:

écran tactile 2.4 TFT LCD 240 x 320 (version ASM)
JMarc
Confirmé
Confirmé
Messages : 605
Enregistré en : août 2016
Localisation : Dans le Sud...

#125 Message par JMarc » sam. 14 oct. 2017 19:44

super

pour im3:im0 pas possible d'intervenir
la méthode de satinas est valable pour reset, cs,rs,wr et rd
pour db0:db8 j’envoie en deux fois


donc par exemples pour window horzontal ram adress start rd a 1 car c'est de l’écriture, passe cs a 0 pour sélectionner l’écran, passe rs a 0 car c'est du registre, j’envoie 0x00 puis 0x50 en passant wr a 0 puis 1 pour chaque envoi en enfin je repasse rs a 1 pour envoyer les données. la première série ne comptant pas j’envoie 0x00 et la seconde série sera les valeurs du début horizontal de ma fenêtre

Code : Tout sélectionner

   bcf     LCD_CS
    bsf     LCD_WR
    bsf     LCD_RD
    bsf     LCD_RST
    Tempo_10ms .20
    bcf     LCD_RST
    Tempo_10ms .1
    bsf     LCD_RST
    Tempo_10ms .20

    movlw   D
'216'
    
movwf   c1      boucle pour write graphic in ram
    movlw   D
'4'
    
movwf   c2

    movlw   D
'255'
    
movwf   c01

    bcf     LCD_CS
;********************************* 
    
clrf    cmd1
    movlw   0x10    
power control 1
    movwf   cmd2
    rcall   Envoi_cmd
    clrf    data1
    movlw   
b'00110000'
    
movwf   data2
    rcall   Envoi_data 

    clrf    cmd1
    movlw   0x20    
gram adress set horizontal
    movwf   cmd2
    rcall   Envoi_cmd
    clrf    data1
    movlw   0x10
    movwf   data2
    rcall   Envoi_data

    clrf    cmd1
    movlw   0x21    
gram adress set vertical
    movwf   cmd2
    rcall   Envoi_cmd
    clrf    data1
    movlw   0x10
    movwf   data2
    rcall   Envoi_data

    movlw   0
    movwf   cmd1
    movlw   0x22        
write data to fram
    movwf   cmd2
    rcall   Envoi_cmd   

boucle
    movlw   0xF8        
1 pixel rouge
    movwf   data1
    movwf   data2
    rcall   Envoi_data
    movlw   0xF0
    movwf   data1
    movwf   data2
    rcall   Envoi_data
    decfsz  c1
,f
    bra     boucle 
    decfsz  c2
,f
    bra     saut
    bra     boucle_fin
saut
    movlw   D
'215'
    
movwf   c1
    bra     boucle
boucle_fin
    bcf     LCD_CS
 
;   MESSAGE "F","i","n"," ","2","C"," "," "," "," "
    
Tempo_10ms .100



    movlw   0x50        
windows horizontal ram adress start
    movwf   cmd2
    rcall   Envoi_cmd
    movlw   0x10
    movwf   data2
    rcall   Envoi_data

    movlw   0x51        
window horizontal ram address end
    movwf   cmd2
    rcall   Envoi_cmd
    movlw   0x10
    movwf   data2
    rcall   Envoi_data

    movlw   0x52        
windows vertical ram adress start
    movwf   cmd2
    rcall   Envoi_cmd
    movlw   0x10
    movwf   data2
    rcall   Envoi_data

    movlw   0x53        
window vertical ram address end
    movwf   cmd2
    rcall   Envoi_cmd
    movlw   0x10
    movwf   data2
    rcall   Envoi_data


boucle2
    movlw   0x0F        
1 pixel 
    movwf   data1
    movwf   data2
    rcall   Envoi_data
    movlw   0xF0
    movwf   data1
    movwf   data2
    rcall   Envoi_data
    decfsz  c1
,f
    bra     boucle2 
    decfsz  c2
,f
    bra     saut2
    bra     boucle_fin2
saut2
    movlw   D
'215'
    
movwf   c1
    bra     boucle2
boucle_fin2
    bsf     LCD_CS
 
;   MESSAGE "F","i","n"," ","2","C"," "," "," "," "
    
Tempo_10ms .100

fin
    bsf     LATA
,1
    bra     fin




Envoi_cmd
    bcf     LCD_RS
    movf    cmd1
,w
    movwf   LATD
    nop
    bcf     LCD_WR
    nop
    nop
    nop
    nop
    bsf     LCD_WR
    movf    cmd2
,w
    movwf   LATD

    nop
    bcf     LCD_WR
    nop
    nop
    nop
    nop
    bsf     LCD_WR
    nop 
    bsf     LCD_RS
    clrf    LATD
    nop
    nop
    
return

Envoi_data
    movf    data1
,w
    movwf    LATD
    nop
    bcf     LCD_WR
    nop    
    nop
    nop
    nop
    bsf     LCD_WR
    movf    data2
,w
    movwf   LATD
    nop
    bcf     LCD_WR
    nop    
    nop
    nop
    nop
    bsf     LCD_WR
    nop
    clrf    LATD
    nop
    nop
    
return 

écran tactile 2.4 TFT LCD 240 x 320 (version ASM)
Temps-x
Avatar de l’utilisateur
Expert
Expert
Messages : 2595
Enregistré en : juillet 2016
Localisation : Terre

#126 Message par Temps-x » mer. 18 oct. 2017 01:00

Bonjour satinas, JMarc, et tous le forum,

J'ai déplacé m'a réponse ici, suite à ta question viewtopic.php?p=7073#p7073, parce que je veux pas pollué l'autre poste.

écrit par satinas :

J'avais pas vu que tu parlais du programme pour l'écran, aurais-tu allumé des pixels ?
:sifflotte:

J'en suis à la reconnaissance du drivers SPFD5408A qui pilote l'écran, quand ça seras fait, le reste resteras facile à faire. 8-)

Code : Tout sélectionner

;**********************************************************************************************

    Errorlevel-302 ; Supprime le message "Ensure that bank bits are correct" 


    list        p
=16F887                  ; processeur utilisé 
    
#include    <p16F887.inc>             ; Définitions des constantes

 __CONFIG _CONFIG1, _DEBUG_OFF & _LVP_OFF & _FCMEN_OFF & _IESO_OFF & _BOR_OFF & _CPD_OFF & _CP_OFF & _MCLRE_OFF & _PWRTE_OFF & _WDT_OFF & _INTRC_OSC_NOCLKOUT 
 __CONFIG _CONFIG2
, _WRT_OFF & _BOR21V                                                                                                   

;**********************************************************************************************
;******************************
 déclaration des variables *************************************
;**********************************************************************************************
  


bank0       EQU H
'20'                       ; zone de la ram en bank 1 : H'20' à H'6F' soit 80 octets              
bank1       EQU H
'A0'                       ; zone de la ram en bank 1 : H'A0' à H'EF' soit 80 octets  
bank2       EQU H
'110'                      ; zone de la ram en bank 2 : H'110' à H'16F' soit 96 octets  
bank3       EQU H
'190'                      ; zone de la ram en bank 3 : H'190' à H'1EF' soit 96 octets 


 CBLOCK H
'25' 
      loop1       
:
      loop2       
:
      loop3       
:
      loop4       
:
      loop5       
:
 ENDC


 CBLOCK H
'70'                             ; zone commune en bank 0, bank 1, bank 2, bank 3 : H'70' à H'7F' soit 16 octets  
      donner_ecran  
:2
 ENDC       

;*************************************** assignations ***************************************** 

#DEFINE   lcd_port               PORTD    ;

#DEFINE   lcd_rd                 PORTA,0  ;

#DEFINE   lcd_wr                 PORTA,1  ;

#DEFINE   lcd_rs                 PORTA,2  ;

#DEFINE   lcd_cs                 PORTA,3  ;  

#DEFINE   lcd_rst                PORTA,4  ;                 

;**************************** adresse de depart après reset **********************************

    ORG H'0'
      goto debut      

;******************************** lieu des interruptions **************************************

    ORG  H'4'           
      retfie    

;**********************************************************************************************       

debut

;**********************************************************************************************       

      BANKSEL ANSEL                       
; on passe en bank 3

;************************ configuration du registre ANSEL en bank 3 ************************* 

      movlw B
'00000000'                   ; 
      movwf ANSEL                         
; en mode E/S 2(RA0), 3(RA1), 4(RA2), 5(RA3), 7(RA5), 8(RE0), 9(RE1), 10(RE2) 

;************************ configuration du registre ANSELH en bank 3 ************************* 

      movlw B
'00000000'                   ; en mode numérique B'00000000' 
      movwf ANSELH                        
; en mode E/S 33(RB0), 34(RB1), 35(RB2), 36(RB3), 37(RB4), 38(RB5) 

;**********************************************************************************************       

      BANKSEL OSCTUNE                     
; on passe en bank 1 

;************************ configuration du registre OSCTUNE en bank 1 ************************* 

                                          
; rélage de la précision de l'oscillateur

      movlw B'
00000000'                   ; 00000000 valeur par défaut
      movwf OSCTUNE                       ; 00001111 fréquence maximale
                                          ; 00010000 fréquence minimale 
                                          
;************************* configuration du registre OSCCON en bank 1 ************************* 

                                          ; rélage de l'
oscillateur interne

                                          
; 01111000 oscillateur 8 Mhz            <-- mon choix
      movlw B
'01111000'                   ; 01101000 oscillateur 4 Mhz    
      movwf OSCCON                        
; 01011000 oscillateur 2 Mhz
                                          
; 01001000 oscillateur 1 Mhz
                                          
; 00111000 oscillateur 500 Khz
                                          
; 00101000 oscillateur 250 Khz
                                          
; 00011000 oscillateur 125 Khz
                                          
; 00001000 oscillateur 31 kHz                                                   

;************************ configuration du registre OPTION_REG en bank 1 ********************** 

      movlw B
'00000000'                   ; résistanse en service
      movwf OPTION_REG                    
; 

;************ configuration des registres TRISA & TRISB & TRISC & TRISE en bank 1 *************                                                   

      movlw B
'00000000'                   ; configuration de TRISA en sortie
      movwf TRISA                         
; 2(RA0), 3(RA1), 4(RA2), 5(RA3), 6(RA4), 7(RA5), 14(RA6), 13(RA7)                                                                  

      movlw B
'11111111'                   ; configuration de TRISB en entrée
      movwf TRISB                         
; 33(RB0), 34(RB1), 35(RB2), 36(RB3), 37(RB4), 38(RB5), 39(RB6), 40(RB7) 
                                           
      movlw B
'00000000'                   ; configuration de TRISC  
      movwf TRISC                         
; 15(RC0), 16(RC1), 17(RC2), 18(RC3), 23(RC4), 24(RC5), 25(RC6), 26(RC7)
                                                                             
      movlw B
'00000000'                   ; configuration de TRISD en sortie
      movwf TRISD                         
; 19(RD0), 20(RD1), 21(RD2), 22(RD3), 27(RD4), 28(RD5), 29(RD6), 30(RD7)                                          
                                                                  
      movlw B
'00001111'                   ; configuration de TRISE entrée
      movwf TRISE                         
; 8(RE0), 9(RE1), 10(RE2), 1(RE3) MCLR en entrée seulement, ne peux être 
                                          
                                          
; alimmentation sous 5 volts patte 12 ou 31 mettre au moins 
                                          
; patte 11 ou 32 mettre au plus 

;************************ configuration du registre ADCON1 en bank 1 **************************
         
      clrf ADCON1                         
;

;************************
 configuration du registre PIE1 en bank 1 ****************************

      movlw B'00000001'                   ; b0 = 1 autorisation d’interruption sur timer1
      movwf PIE1               
                                
;**********************************************************************************************       

      BANKSEL ADCON0                      
; on passe en bank 0

;************************* configuration du registre PIR1 en bank 0 ***************************

     clrf PIR1 
 
;************************ configuration du registre T1CON en bank 0 ***************************

     clrf T1CON
        
;********************* configuration du registre INTCON en bank 0,1,2,***********************

      movlw B'01000000'                   ; configuration de INTCON
      movwf INTCON                        
; autorisation générale périphériques                                                                               

;**********************************************************************************************        
      clrf PORTA    
      clrf PORTC   
      clrf PORTD   

;**********************************************************************************************        
lcdInit
      bsf lcd_cs                          
; digitalWrite(LCD_CS, HIGH)              
      nop                                 
      nop                                 
      bsf lcd_rs                          
; digitalWrite(LCD_RS, HIGH)
      nop                                 
      nop                                  
      bsf lcd_wr                          
; digitalWrite(LCD_WR, HIGH)    
      nop                                   
      nop                                  
      bsf lcd_rd                          
; digitalWrite(LCD_RD, HIGH)    
      nop                                 
      nop                                 
      bsf lcd_rst                         
; digitalWrite(LCD_RST, HIGH);

      return

;**********************************************************************************************       
lcdReset    
     bcf lcd_rst                          
; digitalWrite(LCD_RST, LOW) 
     call _2ms                            
; delay(2ms)    
     bsf lcd_rst                          
; digitalWrite(LCD_RST, HIGH)
     call _10ms                           ; delay(10ms)
 
     return
    
;**********************************************************************************************       
lcdSetWriteDir

      BANKSEL TRISD

      movlw B
'00000000'                   ; configuration de TRISD en sortie
      movwf TRISD   

      BANKSEL bank0

      return
    
;**********************************************************************************************       
lcdSetReadDir

      BANKSEL TRISD

      movlw B
'11111111'                   ; configuration de TRISD en entrée
      movwf TRISD   

      BANKSEL bank0

      return

;********************************************************************************************** 
;********************************************************************************************** 
;*********************************** "pause de 1 seconde" ************************************* 
;********************************************************************************************** 
_1s
      movlw D
'93'
      movwf loop1

      movlw D
'38'
      movwf loop2

      movlw D
'11'
      movwf loop3

      decfsz loop1
,F
      goto 
$-1
      decfsz loop2
,F
      goto 
$-3
      decfsz loop3
,F
      goto 
$-5

      return
;********************************************************************************************** 
;******************************* "pause de 100 millisecondes" ********************************* 
;********************************************************************************************** 
_100ms
      movlw D
'186'
      movwf loop1

      movlw D
'4'
      movwf loop2

      movlw D
'2'
      movwf loop3

      decfsz loop1
,F
      goto 
$-1
      decfsz loop2
,F
      goto 
$-3
      decfsz loop3
,F
      goto 
$-5

      return          

;********************************************************************************************** 
;******************************** "pause de 10 millisecondes" ********************************* 
;********************************************************************************************** 
_10ms
      movlw  D
'248'
      movwf  loop1

      movlw D
'26'
      movwf loop2

      decfsz loop1
,F
      goto 
$-1
      decfsz loop2
,F
      goto 
$-3

      return
;********************************************************************************************** 
;******************************** "pause de 2 millisecondes" ********************************** 
;********************************************************************************************** 
_2ms
      movlw D
'48'
      movwf loop1

      movlw D
'6'
      movwf loop2

      decfsz loop1
,F
      goto 
$-1
      decfsz loop2
,F
      goto 
$-3
      
      return

;********************************************************************************************** 
      End


J' ai pas tous mis car j'en fait 1 heure pas jour, pour le schéma voir en post : viewtopic.php?p=6793#p6793
Pour la lecture de l'écran tous les fils doivent être connecté, mais pas pour l'écriture.

D'autre par, si le programme fonctionne, je vais l'agrandir pour autre écran, par la suite. :wink:

A+
:roll: Les requins, c'est comme le langage ASM, c'est le sommet de la chaîne alimentaire. :wink:

écran tactile 2.4 TFT LCD 240 x 320 (version ASM)
satinas
Expert
Expert
Messages : 1225
Enregistré en : novembre 2015

#127 Message par satinas » mer. 18 oct. 2017 07:11

Bonjour à tous,

Pour la lecture de l'écran tous les fils doivent être connecté, mais pas pour l'écriture

Là j'ai pas compris.

Comme je l'avais indiqué, la lecture de l'id, c'est pas le plus simple. Autant l'écriture, elle passe sans problème, autant la lecture sur ces contrôleurs est très sensible.

Sur lILI9341, il faut envoyer la commande 0xD3 et lire 4 octets derrière, un uint32. Le soft Arduino retourne bien 0x00009341.
Par contre durant les quelques essais avec le même timing, fait pour JMarc sur pic18F, je lisais 0x00009040 ou 0x00009000.
En regardant mes bibliothèques ILI9341 je vois que celle sur pic (à l 'époque du pic32) fait du readPixel(), mais ne lit pas l'id.
Alors que ma bibliothèque Arduino, lit bien l'id, mais ne fais pas de readPixel() car cela ne devait pas marcher.
Les résultats sont toujours incertains, malgré un datasheet clair.
Le readPixel() marche suivant le même principe que le write, il faut faire sur le ILI9341 0x2A,0x2B,0x3E puis lire les couleurs en rgb888, donc 3 octets par couleur, et convertir en rgb565 16bits. Il y a peut être moyen de le programmer pour lire directement du rgb565
Voila le code qui lit l'id ILI9341 sur l'Arduino, converti en format pic JMarc :

Code : Tout sélectionner

U32 ReadId()
{
  U32 id=0; BYTE i;
  LCD_CS = 0;
  SendCmd(0xD3);
  TRISD = 0xff;
  for (i=0; i<4; i++) {
    id = id << 8;
    LCD_RD = 0; id = id | PORTD; LCD_RD = 1;
  }
  LCD_CS = 1;
  TRISD = 0;
  return id;
}


Mais bon, tout cela c'est pour JMarc et son 2ème lcd, car je pense aussi que tu as un SPFD5408, donc voila le readid() de la bibliothèque Arduino Mcufriend, qui fait un readReg(0, 0) et qui doit retourner 0x5408, puis se lance dans une compatibilité ILI9320.
La bibliothèque Arduino baptisée SPFD5408 qui renvoie vers le ILI9341 doit être une sacrée blague, sans doute un leurre envoyé par les Gibis.

Code : Tout sélectionner

int16_t MCUFRIEND_kbv::readReg(uint16_t reg, int8_t index)
{
    uint16_t ret;
    uint8_t lo;
    if (!done_reset)
        reset();
    CS_ACTIVE;
    WriteCmd(reg);
    setReadDir();
    CD_DATA;
    delay(1);    //1us should be adequate
    //    READ_16(ret);
    do { ret = read16bits(); }while (--index >= 0);  //need to test with SSD1963
    RD_IDLE;
    CS_IDLE;
    setWriteDir();
    return ret;
}

static uint16_t read16bits(void)
{
    uint16_t ret;
    uint8_t lo;
    RD_STROBE; ret = read_8(); RD_IDLE;
    RD_STROBE; lo = read_8(); RD_IDLE;
    return (ret << 8) | lo;
}

void MCUFRIEND_kbv::reset(void)
{
    done_reset = 1;
    setWriteDir();
    CTL_INIT();
    CS_IDLE;
    RD_IDLE;
    WR_IDLE;
    RESET_IDLE;
    delay(50);
    RESET_ACTIVE;
    delay(100);
    RESET_IDLE;
    delay(100);
    WriteCmdData(0xB0, 0x0000);   //R61520 needs this to read ID
}

void MCUFRIEND_kbv::WriteCmdData(uint16_t cmd, uint16_t dat)
{
    CS_ACTIVE;
    WriteCmd(cmd);
    WriteData(dat);
    CS_IDLE;
}


Bonne journée
Modifié en dernier par satinas le mer. 18 oct. 2017 10:41, modifié 1 fois.

écran tactile 2.4 TFT LCD 240 x 320 (version ASM)
JMarc
Confirmé
Confirmé
Messages : 605
Enregistré en : août 2016
Localisation : Dans le Sud...

#128 Message par JMarc » mer. 18 oct. 2017 08:12

Bonjour Satinas et Temps-x

Les essais fait sont infructueux car j'ai le même Ecran que temps-x. La datashett manque pour moi d'explication. Un simple organigramme aurait été de l'or. Les recherches sur internet me renvoi que sur de l'arduino :furieux:

J'ai commandé l'écran ili9341 pour mettre en œuvre tout notre travail fait précédament et comprendre le fonctionnement :sifflotte:

écran tactile 2.4 TFT LCD 240 x 320 (version ASM)
Jérémy
Administrateur du site
Administrateur du site
Messages : 2722
Âge : 44
Enregistré en : juillet 2015
Localisation : Dans le sud
Contact :

#129 Message par Jérémy » mer. 18 oct. 2017 08:22

B'jour ici,

Je vois que vous galerer grave..... désolé pour vous .

Mais je dois avoué que j'avais aussi vachement galérer, pour finalement passer sur une autre solution !

Courage aussi à vous les gars, même si c'est de l'ASM , je vous lis en permanence !. Ne lachez rien, en plus vous êtes plusieurs, c'est vraiment sympa , j'ai envie de dire, c'est FANTASPIC :-D
C'est en faisant des erreurs, que l'on apprend le mieux !!!

écran tactile 2.4 TFT LCD 240 x 320 (version ASM)
JMarc
Confirmé
Confirmé
Messages : 605
Enregistré en : août 2016
Localisation : Dans le Sud...

#130 Message par JMarc » mer. 18 oct. 2017 11:07

Bonjour JérémY

C'est surtout que l'on ne sais pas quel est le problème, j'apprend même le C pour arrivé à comprendre mais voilà qu'il n'y a même pas de programme ou bien librairie pour ce modèle :cry:

Quand à la solution de repli, bien non non et non, cela sera en asm !!!


dehors!!


Retourner vers « Langage ASM »

Qui est en ligne

Utilisateurs parcourant ce forum : Aucun utilisateur enregistré et 48 invités